Układy programowalne


Strona przeniesiona na nowy adres:
extronic.pl


10 komentarze :

Anonimowy pisze...

Jaką książkę polecisz?

Dominik Leon Bieczyński pisze...

Z tym jest problem, bo dobrych książek na ten temat nie ma. O verilogu najlepsza jest książka Hajduka plus różne strony z internetu. O budowie fizycznej tych układów to najlepszy jest Zbysiński & Pasierbiński. O oprogramowaniu ISE, Diamond czy jakimkolwiek innym wcale nie ma książki, a to jest najważniejsze z tego wszystkiego i to oprogramowanie jest baaaaardzo rozbudowane.

Anonimowy pisze...

ciekawy wstep, ale moglbys jeszcze napisac cos wiecej o tych ukladach programowalnych? np do czego to sie wykorzystuje?

Dominik Leon Bieczyński pisze...

Gdzie się stosuje - do przetwarzania danych, w układach pomiarowych (w każdym współczesnym oscyloskopie jest co najmniej jeden układ programowalny). W urządzeniach audio-video. Raz po raz mam okazję zobaczyć rozbiórkę starego sprzętu i czasami trafiają się jakieś dekodery telewizyjne, rejestratory do kamer monitoringu. Sam kiedyś z jednego takiego rejestratora wyciągnąłem trzy Spartany i cztery XC95xx. Oprócz układów PLD był tam oczywiście jeszcze jakiś procesor. Innym zastosowaniem jest kryptologia, telekomunikacja i cyfrowe przetwarzanie sygnałów (DSP), filtry. Można to użyć także przy prototypowaniu urządzeń nawet takich, w których docelowo mają znaleźć się klasyczne scalaki logiczne 74xx, ale podczas prac rozwojowych wygodniej jest wgrywać logikę do CPLD niż wtykać scalaki i druciki w płytkę stykową.

Na opencores.org jest cała masa różnych różnych IPcorów, które można zastosować. Warto rzucić okiem co tam jest, by mieć jakieś szersze pojęcie.

Generalnie to dość zaawansowana zabawka, raczej nie dla kogoś kto dopiero zaczyna poznawanie elektroniki cyfrowej. Wskazane jest by znać już jakieś procesorki, jak AVRy albo inne, by wykorzystać zalety zarówno procesora i FPGA.

Unknown pisze...

Masz jakieś schematy prostych układów programowalnych? Jakich konfiguracyjny odpowiednik sumatora ośmiobitowego?

Dominik Leon Bieczyński pisze...

Sumator to rzecz trywialna ;) assign {carry_out, suma} = a + b + carry_in; i tyle. Rysowanie schematów do FPGA jest w praktyce bardzo upierdliwe i czasochłonne. Polecam strony http://mikrokontroler.info/archiwum/uklady-programowalne/ oraz http://www.asic-world.com/verilog/index.html a u mnie materiały będą się pojawiać systematycznie. Następne artykuły przewiduję w grudniu.

Anonimowy pisze...

"Ale czy procesor może wykonać dwie instrukcje jednocześnie? No właśnie... i tu jest haczyk. Nie może"
Tyle że ostatnio powstają układy wieloprocesorowe.

Anonimowy pisze...

Jeśli chodzi o te języki, to myślę, że lepiej byłoby pozostawić każdemu możliwość wyboru języka, a nie tak od razu dyskredytować VHDL. Dla mnie na przykład VHDL jest czytelniejszy, a ponadto, zwykle gdy zsyntetyzuje kod (po 20 wcześniejszych nieudanych próbach i 100 tysiącach wyeliminowanych errorów) działa on poprawnie. Natomiast V-log łyka (prawie) wszystko, więc potem szukasz w składni co jest nie tak xD

Anonimowy pisze...

A jakie to są te programatory USB do tych układów, bo nie mogę tego znaleźć.

Anonimowy pisze...

Dobra, anuluję pytanie, napisałeś o tym :P

Prześlij komentarz

Skomentuj!